Remove unused signal

This commit is contained in:
Robin Gareus 2020-04-25 17:53:49 +02:00
parent b196cef2c4
commit b9c29c478c
Signed by: rgareus
GPG Key ID: A090BCE02CF57F04
2 changed files with 0 additions and 6 deletions

View File

@ -401,8 +401,6 @@ public:
PBD::Signal0<void> processor_latency_changed;
/** the metering point has changed */
PBD::Signal0<void> meter_change;
/** route has updated its latency compensation */
PBD::Signal0<void> signal_latency_updated;
/** Emitted with the process lock held */
PBD::Signal0<void> io_changed;

View File

@ -4329,10 +4329,6 @@ Route::apply_latency_compensation ()
#endif
_delayline->set_delay (latcomp > 0 ? latcomp : 0);
if (old != _delayline->delay ()) {
signal_latency_updated (); /* EMIT SIGNAL */
}
}
}