fix sensitivity of engine dialog driver_combo.

This commit is contained in:
Robin Gareus 2014-05-24 20:09:29 +02:00
parent 298ce8fdbf
commit 58d6c39b3d

View File

@ -652,6 +652,7 @@ EngineControl::EngineControl ()
if (backend->requires_driver_selection()) {
vector<string> drivers = backend->enumerate_drivers();
driver_combo.set_sensitive (true);
if (!drivers.empty()) {
{